Logo Intel Fondo Negro

No hay ninguna duda que Intel no quiere quedarse atrás en su liderazgo en el mercado de las CPU para PC, es por ello que a través de una presentación han puesto los puntos sobre las íes. Demostrando la ventaja que supone no solo diseñar sus propias CPUs sino la capacidad de poder crear nuevos nodos que permitan sacar los mejores diseños, a continuación os contamos todo lo que Intel ha mostrado al público.

En una presentación, Intel ha revelado su hoja de ruta de procesadores y nodos de fabricación con importantes novedades respecto al futuro de la compañía liderada en estos momentos por Pat Gelsinger. La nueva información que ha proporcionado Intel nos da un mapa de ruta mucho más claro respecto a sus planes para competir frente a AMD en el mercado de las CPUs y contra TSMC en lo que a manufactura para terceros se refiere.

Intel renombra sus nodos de fabricación

Nuevos Nodos Intel

En una estrategia de marketing, pero con todo el sentido del mundo. Intel ha renombrado sus nodos de fabricación, ya que hasta el momento el hecho que su nodo de 10 nm aunque era equivalente al de 7 nm de TSMC y mejor incluso que el de su mayor rival en la fabricación de semiconductores.

El hecho de decirle al público general que un nodo de 10 nm es equivalente a uno de 7 nm, pues era cuanto menos confuso, por lo que Intel ha decidido renombrar su nodo de 10 nm, cuya siguiente versión recibía hasta ahora el nombre de 10 nm enhaced SuperFin con el simple nombre de Intel 7, el cual ya se encuentra en producción en grandes volúmenes al ser el nodo que utilizarán Alder Lake y Sapphire Rapids. ¿Las ventajas respecto al nodo de 10 nm SuperFin utilizado en Tiger Lake-H? Entre el 10% y el 15% de rendimiento.

Mapa ruta nuevos nodos Intel

En cuanto al futuro del nodo anteriormente conocido como de Intel a 7 nm, equivalente al nodo de 5 nm en cuanto a especificaciones y densidad, este ha sido renombrado a Intel 4. ¿Las mejoras respecto a Intel 7? Pues han prometido un 20% adicional en cuanto al rendimiento por watt se refiere. El nodo de fabricación Intel 4 se utilizará en Meteor Lake y Granite Rapids, siendo el primero de Intel en hacer uso de litografía EUV. ¿Su fecha de lanzamiento? Intel ya terminó el final del proceso diseño de la Compute Tile qué forma de estos procesadores en el segundo trimestre de 2021, por lo que se espera que se empiecen a fabricar chips en 2022.

El tercer nodo está fechado para 2023, se trata de Intel 3 y promete otro aumento del 20% en rendimiento respecto al consumo en comparación con su antecesor. Con todo esto, podemos deducir que Intel pretende introducir un nuevo nodo de fabricación cada año, una gesta cuanto menos difícil.

Después de los nanómetros vienen los Armstrongs

20A

Intel no solamente ha hablado del renombramiento comercial de sus nodos de fabricación que ya conocíamos con antelación, sino también de los nodos de fabricación que vendrán a continuación, y de nuevo parece ser que tenemos una cadencia casi anual

Para 2024 Intel tiene planeado un nuevo nodo de fabricación, el cual han bautizado como Intel 20A, dónde la A hace referencia a Amstrong, la cual es una medida donde un Amstrong tiene el tamaño de 0.1 nm. Por lo que podemos deducir que podría haberse llamado Intel 2. ¿Las diferencias con otros nodos? Intel introducirá un nuevo tipo de transistor bautizado como RibbonFET, los cuales son la implementación de Intel de los Gate-All-Around Field-Effect Transistor o más conocidos como GAAFET.

La segunda novedad recibe el nombre de PowerVia , nombre que hace referencia al nuevo PDN que Intel introducirá en nodo 20A, y por tanto de una nueva forma de suministrar energía. La novedad está en que todas las interconexiones para el PDN en la parte superior del chip serán movidas a la parte inferior del mismo, desde donde son conectados al chip de manera directa sin pasar por la interfaz de interconexión. La cual tendría que atravesar el PDN si se encontrase en la parte superior del chip. Todo esto podría significar que el Power Delivery Network de los procesadores bajo el nodo Intel 20A se encontraría en un chip aparte en una configuración 3DIC.

Pero la cosa no termina ahí y pese a que Intel no lo ha incluido en el mapa de ruta. han hablado de otro nodo de fabricación, llamado Intel 18A, el cual estaría planeado para principios de 2025 haciendo de transistores RibbonFET mejorados. ¿Lo particularidad de esta nodo de fabricación? Requiere un tipo de maquinaria nueva para la litografía al hacer uso de las High NA EUV, un tipo de maquinaría EUV de alta precisión de ASML que es necesario para un nodo de fabricación tan pequeño. Intel será la primera fundición del mundo que recibirá este tipo de maquinaria.

Nuevas tecnologías de empaquetado 2.5DIC y 3DIC

Foveros Omni

Intel ha presentado dos nuevos sabores de su empaquetado 3DIC, bautizados de manera genérica como Foveros.

Siendo la primera de las que Intel ha presentado Foveros Omni, la cual es una evolución de la tecnología Intel Foveros utilizada en los procesadores Lakefield. Se trata de un sistema de empaquetado 3DIC que permite interconexión directa entre los dos chips. Foveros Omni permite que un solo procesador se disgregue en varios chips de diferentes con diferentes nodos de fabricación cada uno. Intel espera que Foveros Omni esté listo para la fabricación en masa para 2023.

Foveros DirectFoveros Direct en cambio es otro sabor de Foveros, pero que está pensado para conexión directa cobre con cobre. Uno de los motivos por el cual existe la interconexión vertical entre chips o 3DIC, es para aumentar la cantidad de interconexiones, lo que permite aumentar el ancho de banda sin tener que disparar la velocidad de reloj al norte provocando con ello altos consumos. Esto se consigue haciendo uso de interconexiones en matriz en vez de hacerlo en serie, por lo que el tamaño de dichas interconexiones es importante.

Evolutivamente Foveros en su primera generación para Lakefield hace uso de interconexiones de 55 micrómetros de tamaño, pero la segunda generación de Foveros que veremos en la GPU HPC Ponte Vecchio disminuye el tamaño a los 36 micrómetros. ¿El tamaño de Foveros Omni y Foveros Direct? 25 micrómetros y menos de 10 micrómetros respectivamente. Además han revelado que tanto Foveros Omni como Foveros Direct no solo son combinables entre sí, sino también con Intel EMIB para crear complejos sistemas heterogéneos.

La siguiente generación de procesadores de Intel en los nuevos nodos

Intel Alder Lake Sapphire Rapids

Intel no solo ha hablado de sus nuevos empaquetados y de sus nuevos nodos de fabricación, sino también de los procesadores que vamos a ver al menos en los próximos tres años, tanto a nivel de PC como de servidores. Siendo los primeros los que van a utilizar el nodo Intel 7 y por tanto Alder Lake y Sapphire Rapids. De los que ya conocemos bastantes detalles y cuyo diseño, al menos en el caso de Alder Lake está totalmente finalizado.

Pero donde Intel ha mostrado más novedades es con Meteor Lake, el cual es un diseño basado en chiplets donde la intercomunicación se realiza haciendo uso de varias tiles interconectadas entre sí haciendo uso de Foveros de segunda generación. Meteor Lake hará uso de tres tiles distintas, la primera de ellas es la Compute Tile, la segunda es la encargada de la E/S del procesador y la tercera es una GPU Intel Xe que va de las 96 EU a las 192 EUs.

Intel Foveros EMIB

Todos los tiles tanto en Meteor Lake como en Granite Rapids están conectados a lo que Intel llama Base Die que se encuentra en la parte inferior. El cual se encargará de la intercomunicación diferente entre los núcleos haciendo uso de la interconexión Foveros de segunda generación. Se trata de la misma técnica de intercomunicación que Intel utiliza en su GPU Intel Xe-HPC o Ponte Vecchio.

The post Intel renombra sus nodos de fabricación: llega la era de los Armstrong appeared first on HardZone.